Book Chapter

2022
  • Hasib-Al Rashid, Haoran Ren, Arnab Neelim Mazumder, Mohammad M. Sajadi, Tinoosh Mohsenin
    " A Re-configurable Software-Hardware CNN Framework for Automatic Detection of Respiratory Symptoms "
    Healthcare Technology Solutions for Pandemics – A Roadmap, to be published by Springer Nature in early-mid 2022 pdf download
  • 2020
  • Mohit Khatwani, Hasib-Al Rashid, Hirenkumar Paneliya, Mark Horton, Nicholas Waytowich, W. David Hairston, and Tinoosh Mohsenin
    " A Flexible Software-Hardware Framework for Brain EEG Multiple Artifact Identification "
    Handbook of Biochips: Integrated Circuits and Systems for Biology and Medicine pdf download
  • Amey Kulkarni and Tinoosh Mohsenin
    " SENSE: Sketching Framework for Big Data Acceleration on Low Power Embedded Cores "
    pdf download
  • Ali Jafari, Arnab Mazumder, Hasib-Al Rashid, Ashwinkumar Ganesan, Chetan Sai Kumar Thalisetty, Tim Oates and Tinoosh Mohsenin
    " SensorNet: An Educational Deep Neural Network Framework for Low Power Multimodal Data Classification "
    pdf download
  • Journals

    2022
  • Aidin Shiri, Mozhgan Navardi, Tejaswini Manjunath, Nicholas R. Waytowich, Tinoosh Mohsenin
    " Efficient Language-Guided Reinforcement Learning for Resource Constrained Autonomous Systems "
    IEEE Micro Magazine, 2022 pdf download
  • Arnab Neelim Mazumder, Morteza Hosseini, and Tinoosh Mohsenin
    " Embedded Devices for Neuromorphic Time-Series Assessment "
    2022 roadmap on neuromorphic computing and engineering pdf download
  • 2021
  • Morteza Hosseini, and Tinoosh Mohsenin
    " QS-NAS: Optimally Quantized Scaled Architecture Search to Enable Efficient On-Device Micro-AI "
    IEEE Journal on Emerging and Selected Topics in Circuits and Systems, (JETCAS), 2021, USA. pdf download
  • Morteza Hosseini, Nitheesh Manjunath, Uttej Kallakuri, Hamid Mahmoodi, Houman Homayoun, and Tinoosh Mohsenin
    " Cyclic Sparsely Connected Architectures - from Foundations to Applications "
    IEEE Solid-State Circuits Magazine, (2021), USA. pdf download
  • Aidin Shiri, Uttej Kallakuri, Hasib-Al Rashid, Bharat Prakash, Nicholas R. Waytowich, Tim Oates,TinooshMohsenin
    " E2HRL: An Energy-Efficient Hardware Accelerator for Hierarchical Deep Reinforcement Learning "
    ACM Transactions on Design Automation of Electronic Systems (TODAES), 2021, USA. pdf download
  • Arnab Neelim Mazumder, Jian Meng, Hasib-Al Rashid, Utteja Kallakuri, Xin Zhang, Jae-sun Seo and Tinoosh Mohsenin
    " A Survey on the Optimization of Neural Network Accelerators for Micro-AI On-Device Inference "
    IEEE Journal on Emerging and Selected Topics in Circuits and Systems, (JETCAS), 2021, USA. pdf download
  • Morteza Hosseini, Nitheesh Kumar Manjunath, Bharat Prakash, Arnab Mazumder, Vandana Chandrareddy, Houman Homayoun and Tinoosh Mohsenin
    " Cyclic Sparsely Connected Architectures for Compact Deep Convolutional Neural Networks "
    IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2021, USA. pdf download
  • Morteza Hosseini and Tinoosh Mohsenin
    " Binary Precision Neural Network Manycore Accelerator "
    ACM Journal on Emerging Technologies in Computing Systems (JETC), April 2021. pdf download
  • Nitheesh Kumar Manjunath, Aidin Shiri, Morteza Hosseini, Bharat Prakash, Nicholas R. Waytowich, and Tinoosh Mohsenin
    " An Energy Efficient EdgeAI Autoencoder Accelerator for Reinforcement Learning "
    IEEE Open Journal of Circuits and Systems, January 2021. pdf download
  • Aidin Shiri, Arnab Neelim Mazumder, Bharat Prakash, Nicholas R. Waytowich, and Tinoosh Mohsenin
    " A Hardware Accelerator for Language Guided Reinforcement Learning "
    IEEE Transactions of Design and Test, November 2020, Accepted. pdf download
  • Arnab Neelim Mazumder, Haoran Ren, Hasib-Al Rashid, Morteza Hosseini, Vandana Chandrareddy and Tinoosh Mohsenin
    " Automatic Detection of Respiratory Symptoms Using a Low Power Multi-Input CNN Processor "
    IEEE Transactions of Design and Test, Accepted. pdf download
  • Mohit Khatwani, Hasib-Al Rashid, Hirenkumar Paneliya, Mark Horton, Nicholas Waytowich, William David Hairston, Tinoosh Mohsenin
    " A Flexible Multichannel EEG Artifact Identification Processor using Depthwise-Separable Convolutional Neural Networks "
    ACM Journal on Emerging Technologies in Computing Systems (JETC), September 2020, Accepted. pdf download
  • 2019
  • Colin Shea, Tinoosh Mohsenin
    " Heterogeneous Scheduling of Deep Neural Networks for Low-power Real-time Designs "
    ACM Journal on Emerging Technologies in Computing Systems (JETC), December 2019, Article No.: 36. pdf download
  • 2018
  • Ali Jafari, Ashwinkumar Ganesan, Chetan Sai Kumar Thalisetty, Varun Sivasubramanian, Tim Oates and Tinoosh Mohsenin
    " SensorNet: A Scalable and Low Power Deep Convolutional Neural Network for Multimodal Data Classification in Embedded Real-Time Systems "
    IEEE Transactions on Circuits and Systems-I (TCAS-I), 2018. pdf download
  • 2017
  • Tahmid Abtahi, Colin Shea, Amey Kulkarni and Tinoosh Mohsenin
    " Accelerating Convolutional Neural Network with FFT on Embedded Hardware "
    IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2017. pdf download
  • Nasrin Attaran, Abhilash Puranik, Justin Brooks, and Tinoosh Mohsenin
    " Embedded Low-Power Processor for Personalized Stress Detection”, IEEE Transactions on Circuits and Systems-II "
    IEEE Transactions on Circuits and Systems-II pdf download
  • Page, Adam, Attaran, Nasrin, Homayoun, Houman Mohsenin, Tinoosh,
    " Low Power Manycore Accelerator for Personalized Biomedical Applications "
    IEEE Transactions on Very Large Scale Integration Systems, August 2017. pdf download
  • Ali Jafari, Nathanael Buswell, Maysam Ghovanloo, and Tinoosh Mohsenin
    " A Low Power Wearable Tongue Drive System for People with Severe Disabilities "
    IEEE Transactions on Biomedical Circuits and Systems, August 2017. pdf download
  • M Hajkazemi, M. Khavari, Tinoosh Mohsenin, Houman Homayoun
    " Heterogeneous HMC+DDRx Memory Management for Performance-Temperature Trade-offs "
    ACM Journal on Emerging Technologies in Computing Systems (JETC), June 2017. pdf download
  • Amey Kulkarni, Colin Shea, Tahmid Abtahi and Tinoosh Mohsenin
    " Low Overhead CS-based Heterogeneous Framework for Big Data Acceleration "
    ACM Transaction on Embedded Computing Systems, May 2017. pdf download
  • Amey Kulkarni and Tinoosh Mohsenin
    " Low Overhead Architectures for OMP Compressive Sensing Reconstruction Algorithm "
    IEEE Transactions on Circuits and Systems I: Regular Papers, Volume: PP Issue: 99,pp. 1 - 13, January 2017, DOI: 10.1109/TCSI.2017.2648854 pdf download
  • Adam Page, Colin Shea, Tinoosh Mohsenin
    " SPARCNet: A Hardware Accelerator for Efficient Deployment of Sparse Convolutional Networks "
    ACM Journal on Emerging Technologies in Computing Systems (JETC), 13, 3, Article 31,May 2017, 32 pages, DOI: https://doi.org/10.1145/3005448 pdf download
  • 2016
  • Amey Kulkarni, Youngok Pino, Matthew French, and Tinoosh Mohsenin
    "Real-Time Anomaly Detection Framework for Many-Core Router through Machine Learning Techniques"
    In ACM Journal of Emerging Technologies in Computing Systems (JETC) 13, 1, Article 10, June 2016, 22 pages. DOI: https://doi.org/10.1145/2827699 pdf download
  • 2015
  • Adam Page, Chris Sagedy, Emily Smith, Nasrin Attaran, Tim Oates and Tinoosh Mohsenin
    "A Flexible Multi-channel EEG Feature Extractor and Classifier for Seizure Detection"
    Circuits and Systems II: Express Briefs, IEEE Transactions on vol. 62, no. 2, pp. 109–113, Feb 2015. pdf download
  • Sina Viseh, Maysam Ghovanloo, and Tinoosh Mohsenin
    "Towards an Ultra Low Power On-board Processor for Tongue Drive System"
    Circuits and Systems II: Express Briefs, IEEE Transactions on vol. 62, no. 2, pp. 174–178, Feb 2015. pdf download
  • 2014
  • Cannon, B.M.; Mahmood, T.; Astar, W.; Boudra, P.; Mohsenin, T.; Carter, G.M.,
    "Polarization-Insensitive Phase-Transmultiplexing and Multicasting of CSRZ-OOK and 4 RZ-BPSK to 4 RZ-QPSK via XPM in a Birefringent PCF"
    IEEE Photonics Journal , vol.6, no.2, pp.1,11, April 2014 doi: 10.1109/JPHOT.2014.2309642pdf download
  • 2013
  • B. M. Cannon, T. Mahmood, W. Astar, P. Apiratikul, G. Porkolab, P. Boudra III, T. Mohsenin, C. J. K. Richardson, and G. M. Carter
    "All Optical Amplitude-Phase Transmultiplexing of RZ-OOK and RZ-BPSK to RZ-QPSK by Polarization-Insensitive XPM using a Nonlinear Birefringent AlGaAs Waveguide"
    Optics Express Journals, August 2013. pdf download
  • Tinoosh Mohsenin and Houshmand Shirani-Mehr and Bevan Baas
    "LDPC Decoder with an Adaptive Wordwidth Datapath for Energy and BER Co-optimization"
    In Journal of VLSI Signal Processing, February 2013. pdf download
  • Conference Papers

    2023
  • Tejaswini Manjunath, Mozhgan Navardi, Prakhar Dixit, Bharat Prakash, Tinoosh Mohsenin
    " ReProHRL: Towards Multi-Goal Navigation in the Real World using Hierarchical Agents "
    On 37th AAAI Conference on Artificial Intelligence, The Reinforcement Learning Ready for Production workshop. pdf download
  • 2022
  • Tejaswini Manjunath, Mozhgan Navardi, Prakhar Dixit, Bharat Prakash, Tinoosh Mohsenin
    " ReProHRL: Towards Multi-Goal Navigation in the Real World using Hierarchical Agents "
    On 37th AAAI Conference on Artificial Intelligence, The 1st Reinforcement Learning Ready for Production workshop. pdf download
  • Mozhgan Navardi, Edward Humes, Tinoosh Mohsenin
    " E2EdgeAI: Energy-Efficient Edge Computing for Deployment of Vision-Based DNNs on Autonomous Tiny Drones "
    The 7th ACM/IEEE Conference on Symposium on Edge Computing, EdgeComm: The 3rd Workshop on Edge Computing and Communications, 2022. pdf download
  • Bharat Prakash, Nicholas Waytowich, Tim Oates, Tinoosh Mohsenin
    " Interpretable Hierarchical Agent Framework using Semantic Goals "
    In the AAAI Fall Symposium AI-HRI 2022. pdf download
  • Bharat Prakash, Nicholas Waytowich, Tim Oates, Tinoosh Mohsenin
    " Hierarchical Agents by Combining Language Generation and Semantic Goal Directed RL "
    In the LaReL Workshop in 36th NeurIPS Conference 2022. pdf download
  • Arnab Neelim Mazumder and Tinoosh Mohsenin
    " A Fast Network Exploration Strategy to Profile Low Energy Consumption for Keyword Spotting "
    TinyML Research Symposium, 2022, USA. pdf download
  • Hasib-Al Rashid, Pretom Roy Ovi, Aryya Gangopadhyay, Tinoosh Mohsenin
    " TinyM2Net: A Flexible System Algorithm Co-designed Multimodal Learning Framework for Tiny Devices "
    TinyML Research Symposium, 2022, USA. pdf download
  • Hasib-Al Rashid, Mohammad M. Sajadi and Tinoosh Mohsenin
    " CoughNet-V2: A Scalable Multimodal DNN Framework for Point-of-Care Edge Devices to Detect Symptomatic COVID-19 Cough "
    2022 IEEE Healthcare Innovations and Point of Care Technologies (HI-POCT) pdf download
  • Mozhgan Navardi, Prakhar Dixit, Tejaswini Manjunath, Nicholas R. Waytowich, Tinoosh Mohsenin
    " Toward Real-World Implementation of Deep Reinforcement Learning for Vision-Based Autonomous Drone Navigation with Mission "
    3rd Workshop on Closing the Reality Gap in Sim2Real Transfer for Robotics on Robotics: Science and Systems (RSS) July 2022, NY, USA. pdf download
  • Mozhgan Navardi, Aidin Shiri, Edward Humes, Nicholas R. Waytowich, Tinoosh Mohsenin
    " An Optimization Framework for Efficient Vision-Based Autonomous Drone Navigation "
    Proceedings of IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS) June 2022, Incheon, Korea. pdf download
  • 2021
  • Bharat Prakash, Nicholas Waytowich, Tim Oates, Tinoosh Mohsenin
    " Interactive Hierarchical Guidance using Language "
    AI-HRI symposium as part of AAAI-FSS, 2021, USA. pdf download
  • Aidin Shiri, Bharat Prakash, Arnab Neelim Mazumder, Nicholas R. Waytowich, Tim Oates, and Tinoosh Mohsenin
    " An Energy-Efficient Hardware Accelerator for Hierarchical Deep Reinforcement Learning "
    Proceedings of IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS) 2021, USA. pdf download
  • Morteza Hosseini,Mohammad Ebrahimabadi, Arnab Mazumder, Houman Homayoun, and Tinoosh Mohsenin
    " A Fast Method to Fine-tune Neural Networks for the Least Energy Consumption on FPGAs "
    Proceedings of the Hardware Aware Efficient Training workshop of ICLR 2021, USA. pdf download
  • Hasib-Al Rashid, Arnab Neelim Mazumder, Utteja Panchakshara Kallakuri Niyogi, and Tinoosh Mohsenin
    " CoughNet: A Flexible Low Power CNN-LSTM Processor for Cough Sound Detection "
    Proceedings of IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS) 2021, USA. pdf download
  • Korde-Patel, A., Barry, R. K., & Mohsenin, T.
    " Application of Compressive Sensing for Gravitational Microlensing Events."
    Journal of Astronomical Telescopes, Instruments, and Systems (Under review).pdf download
  • 2020
  • Morteza Hosseini, Haoran Ren, Hasib-Al Rashid, Arnab Mazumder, Bharat Prakash and Tinoosh Mohsenin
    " Neural Networks for Pulmonary Disease Diagnosis using Auditory and Demographic Information "
    epiDAMIK 2020: 3rd epiDAMIK ACM SIGKDD International Workshop on Epidemiology meets Data Mining and Knowledge Discovery, August 2020, USA. pdf download
  • Arnab Neelim Mazumder, Hasib-Al Rashid and Tinoosh Mohsenin
    " An Energy-Efficient Low Power LSTM Processor for Human Activity Monitoring "
    2020 IEEE 33rd International System-on-Chip Conference (SOCC 2020), September 2020, USA. pdf download
  • Haoran Ren, Arnab Mazumder, Hasib-Al Rashid, Vandana Chandrareddy, Aidin Shiri and Tinoosh Mohsenin
    " End-to-end Scalable and Low Power Multi-modal {CNN} for Respiratory-related Symptoms Detection "
    2020 IEEE 33rd International System-on-Chip Conference (SOCC 2020), September 2020, USA. pdf download
  • Aidin Shiri, Arnab Neelim Mazumder, Bharat Prakash, Nitheesh Kumar Manjunath, Houman Homayoun, Avesta Sasan, Nicholas R Waytowich, Tinoosh Mohsenin
    " Energy-Efficient Hardware for Language Guided Reinforcement Learning "
    Proceedings of the 2020 on Great Lakes Symposium on VLSI, September 2020, China. pdf download
  • Hasib-Al-Rashid and Tinoosh Mohsenin
    " CNN LSTM Combined Network for Artifact Identification in Multi-channel EEG data "
    11th International Conference on Applied Human Factors and Ergonomics (AHFE 2020), July 2020, San Diego, CA, USA. pdf download
  • Hasib-Al-Rashid, Nitheesh Kumar Manjunath, Hirenkumar Paneliya, Morteza Hosseini and Tinoosh Mohsenin
    " A Low-Power LSTM Processor for Multi-Channel Brain EEG Artifact Detection "
    In the proceedings of the 21th International Symposium on Quality Electronic Design (ISQED), March 2020, Santa Clara, CA. pdf download
  • Hirenkumar Paneliya, Morteza Hosseini, Avesta Sasan, Houman Homayoun and Tinoosh Mohsenin
    " CSCMAC - Cyclic Sparsely Connected Neural Network Manycore Accelarator "
    In the proceedings of the 21th International Symposium on Quality Electronic Design (ISQED), March 2020, Santa Clara, CA. pdf download
  • Bharat Prakash, Nicholas Waytowich, Ashwinkumar Ganesan, Tim Oates , Tinoosh Mohsenin
    " Guiding Safe Reinforcement Learning Policies Using Structured Language Constraints "
    SafeAI Workshop in 34th AAAI conference, February 2020, NY pdf download
  • Korde-Patel, A., Barry, R. K., & Mohsenin, T.
    " Compressive Sensing Based Data Acquisition Architecture for Transient Stellar Events in Crowded Star Fields."
    In 2020 IEEE International Instrumentation and Measurement Technology Conference (I2MTC) (pp. 1-6). IEEE.pdf download
  • 2019
  • Morteza Hosseini, Mark Horton, Hiren Paneliya, Uttej Kallakuri and Tinoosh Mohsenin
    " On the Complexity Reduction of Dense Layers from O(N^2) to O(N logN) with Cyclic Sparsely Connected Layers "
    In the proceedings of the 56th ACM/IEEE Design Automation Conference (DAC), 2019, Las Vegas, NV. pdf download
  • Sunil Gandhi, Tim Oates, Tinoosh Mohsenin, Nicholas Waytowich
    " Learning Behaviors from a Single Video Demonstration Using Human Feedback "
    International Conference on Autonomous Agents and Multiagent Systems (AAMAS), May 2019, Montreal, Canada pdf download
  • Bharat Prakash, Mark Horton, Nicholas Waytowich, William David Hairston, Tim Oates and Tinoosh Mohsenin,
    " On the use of Deep Autoencoders for Efficient Embedded Reinforcement Learning "
    In ACM Proceedings of the 29th Edition of the Great Lakes Symposium on VLSI (GLSVLSI), May 2019 pdf download
  • Bharat Prakash, Mohit Khatwan, Nicholas Waytowich and Tinoosh Mohsenin
    " Improving Safety in Reinforcement Learning using Model-Based Architectures and Human Intervention "
    In 32nd International FLAIRS conference (AAAI), 2019. pdf download
  • Mohit Khatwani, W. David Hairston, Nicholas Waytowich, Tinoosh Mohsenin
    " A Low Complexity Automated Multi-channel EEG Artifact Detection using EEGNet "
    In the proceedings of the 9th International IEEE EMBS Conference on Neural Engineering, 2019 pdf download
  • Morteza Hosseini, Hiren Paneliya, Uttej Kallakuri, Mohit Khatwani and Tinoosh Mohsenin
    " Minimizing Classification Energy of Binarized Neural Network Inference for Wearable Devices "
    In the proceedings of the 20th International Symposium on Quality Electronic Design (ISQED), March 2019, Santa Clara, CA. pdf download
  • Hosein Mohamamdi Makrani, Hossein Sayadi, Tinoosh Mohsenin, Avesta Sasan, Houman Homayoun
    " XPPE: Cross-Platform Performance Estimation of Hardware Accelerators Using Machine Learning "
    In Proceedings of 24th Asia and South Pacific Design Automation Conference (ASP-DAC) 2019 pdf download
  • 2018
  • Ali Jafari, Morteza Hosseini, Houman Homayoun and Tinoosh Mohsenin
    " A Scalable and Low Power DCNN for Multimodal Data Classification "
    In Proceedings of the IEEE International Conference on ReConFigurable Computing and FPGAs (ReConFig), December 2018, Cancun, Mexico. pdf download
  • Mohit Khatwani, Morteza Hosseini, Hiren Paneliya, W. David Hairston, Nicholas Waytowich and Tinoosh Mohsenin
    " Energy Efficient Convolutional Neural Networks for EEG Artifact Detection "
    In Proceedings of the biomedical circuits and systems conference (BioCAS), October 2018. pdf download
  • Morteza Hosseini, Rashidul Islam, Lahir Marni and Tinoosh Mohsenin
    " MPT: Multiple Parallel Tempering for High-Throughput MCMC Samplers "
    In Proceedings of the 31st international IEEE System-on-Chip Conference (SOCC), September 2018. pdf download
  • Lahir Marni, Morteza Hosseini, Jennifer Hopp, Pedram Mohseni and Tinoosh Mohsenin
    " A Real-Time Wearable FPGA-based Seizure Detection Processor Using MCMC "
    In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), 2018. pdf download
  • Lahir Marni, Morteza Hosseini and Tinoosh Mohsenin
    " Mc3a: Markov chain monte carlo manycore accelerator "
    In Proceedings of the Great Lakes Symposium on VLSI, May 2018. pdf download
  • Ali Jafari, Morteza Hosseini, Adwaya Kulkarni, Chintan Patel and Tinoosh Mohsenin
    " BiNMAC: Binarized neural Network Manycore ACcelerator "
    In Proceedings of the Great Lakes Symposium on VLSI, May 2018. pdf download
  • Colin Shea, Adam Page, and Tinoosh Mohsenin
    " SCALENet: A SCalable Low power AccELerator for Real-time Embedded Deep Neural Networks "
    In Proceedings of the Great Lakes Symposium on VLSI, May 2018. pdf download
  • 2017
  • Ali Jafari, Maysam Ghovanloo, and Tinoosh Mohsenin
    " An Embedded FPGA Accelerator for a Stand-alone Dual-Mode Assistive Device"
    In Proceedings of IEEE Biomedical Circuits and Systems (BioCAS) Conference, 4 pages, October 2017. pdf download
  • Ali Jafari, Maysam Ghovanloo, and Tinoosh Mohsenin
    " A Real-time Embedded FPGA Processor for a Stand-alone Dual-Mode Assistive Device "
    In Proceedings of the 25th IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM), May 2017. pdf download
  • Ali Jafari, Sunil Gandhi, Sri Harsha Konuru, David Hairston, Tim Oates and Tinoosh Mohsenin
    " An EEG Artifact Identification Embedded Hardware using ICA and Multi-Instance Learning "
    In proceedings of the 50th IEEE International Symposium on Circuits and Systems (ISCAS), May 2017.
    Invited
  • Morteza Hosseini, Rashidul Islam, Amey Kulkarni and Tinoosh Mohsenin
    " A Scalable FPGA-based Accelerator for High-Throughput MCMC Algorithms "
    In Proceedings of the 25th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM) May 2017. pdf download
  • Maria Malik, Katayoun Neshatpour, Tinoosh Mohsenin, Avesta Sasan and Houman Homayoun
    " Big Vs. Little Core for Enenr-Effecient Hadoop Computing "
    In proceedings of 2017 IEEE Design, Automation & Test in Europe Conference & Exhibition, March 2017. pdf download
  • Amey Kulkarni, Colin Shea, Houman Homayoun, and Tinoosh Mohsenin
    " LESS: Big Data Sketching and Encryption on Low Power Platform "
    In proceedings of 2017 IEEE Design, Automation & Test in Europe Conference & Exhibition, January 2017. pdf download
  • Tahmid Abtahi, Amey Kulkarni, Tinoosh Mohsenin
    " Accelerating convolutional neural network with FFT on tiny cores "
    In proceedings of 50th IEEE International Symposium on Circuits and Systems (ISCAS), USA, May 2017, Best Paper Award-Honorary Mention
  • Adwaya Kulkarni, Tahmid Abtahi, Colin Shea, Amey Kulkarni and Tinoosh Mohsenin
    " PACENet: Energy efficient acceleration for convolutional network on embedded platform "
    In proceedings of 50th IEEE International Symposium on Circuits and Systems (ISCAS), USA, May 2017.
    Invited
  • 2016
  • Nasrin Attaran, Justin Brooks and Tinoosh Mohsenin
    " A Low-Power Multi-Physiological Monitoring Processor for Stress Detection "
    In Proceedings of the IEEE sensors conference , 2016, pp. 1-3, doi:10.1109/ICSENS.2016.7808776. pdf download
  • Korde-Patel, A., Barry, R. K., & Mohsenin, T.
    " Application of Compressive Sensing to Gravitational Microlensing Experiments. "
    In Proceedings of the International Astronomical Union, 12(S325), 67-70.pdf download
  • Korde-Patel, A., Barry, R. K., & Mohsenin, T.
    " Application of Compressive Sensing to Gravitational Microlensing Data and Implications for Miniaturized Space Observatories. "
    pdf download
  • Adam Page and Tinoosh Mohsenin
    " FPGA-Based Reduction Techniques for Efficient Deep Neural Network Deployment "
    In Proceedings of the IEEE 24th International Symposium Field-Programmable Custom Computing Machines (FCCM), May 2016.pdf download
  • Amey Kulkarni, Ali Jafari, Colin Shea, and Tinoosh Mohsenin
    "CS-based Secured Big Data Processing on FPGA "
    In Proceedings of the 24th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM), May 2016. pdf download
  • Adam Page, Nasrin Attaran, Houman Homayoun and Tinoosh Mohsenin
    " Low-Power Manycore Accelerator for Personalized Biomedical Applications "
    In Proceedings of the 26th Edition of the Great Lakes Symposium on VLSI (GLSVLSI), May 2016. Best Paper Award pdf download
  • Amey Kulkarni, Tahmid Abtahi, Emily Smith, and Tinoosh Mohsenin
    " Low Energy Sketching Engines on Many-Core Platform for Big Data Acceleration "
    In Proceedings of the 26th Edition of the Great Lakes Symposium on VLSI (GLSVLSI), May 2016. pdf download
  • Amey Kulkarni, Youngok Pino and Tinoosh Mohsenin
    " Adaptive Real-time Trojan Detection Framework through Machine Learning "
    In Hardware Oriented Security and Trust (HOST), 2015 IEEE International Symposium on, May 2016. pdf download
  • Adam Page, Colin Shea, and Tinoosh Mohsenin
    " Wearable Seizure Detection using Convolutional Neural Networks with Transfer Learning "
    The 49th IEEE International Symposium on Circuits and Systems (ISCAS), Canada, May 2016. pdf download
    Invited
  • Amey Kulkarni, Ali Jafari, Chris Sagedy and Tinoosh Mohsenin
    " Sketching-Based High-Performance Biomedical Big Data Processing Accelerator "
    The 49th IEEE International Symposium on Circuits and Systems (ISCAS), Canada, May 2016. pdf download
    Invited
  • Amey Kulkarni, Youngok Pino and Tinoosh Mohsenin
    " SVM-based Real-Time Hardware Trojan Detection for Many-Core Platform "
    In 17th International Symposium on Quality Electronic Design (ISQED), March 2016. pdf download
  • Ali Jafari, Nathanael Buswell, Adam Page, Maysam Ghovanloo, and Tinoosh Mohsenin
    " A Low Power Wearable Tongue Drive System for People with Severe Disabilities"
    In 2016 International Solid-State Circuits Conference Student Research Preview, January 2016.
  • 2015
  • Adam Page and Tinoosh Mohsenin
    " An Ultra Low Power System for Personalized, Wearable Seizure Detection "
    In NIH-IEEE 2015 Strategic Conference on Healthcare Innovations and Point-of-Care Technologies for Precision Medicine, November 2015.pdf download
  • Ali Jafari, Sina Viseh, Adam Page, Maysam Ghovanloo, and Tinoosh Mohsenin
    " An Ultra Low Power Tongue Drive System for Paralyzed Patients"
    In NIH-IEEE 2015 Strategic Conference on Healthcare Innovations and Point-of-Care Technologies for Precision Medicine, November 2015. pdf download
  • Ali Jafari, Adam Page, Nathanael Buswell, Nazmus Sahadat, Maysam Ghovanloo, and Tinoosh Mohsenin
    " Live Demonstration: Towards an Ultra Low Power On-board Processor for Tongue Drive System"
    In Biomedical Circuits and Systems Conference (BioCAS), 2015 IEEE, October 2015. pdf download
  • Ali Jafari, Adam Page, Chris Sagedy, Emily Smith, and Tinoosh Mohsenin
    " A Low Power Seizure Detection Processor Based on Direct use of Compressively-Sensed Data and Employing a Deterministic Random Matrix"
    In Biomedical Circuits and Systems Conference (BioCAS), 2015 IEEE, October 2015. pdf download
  • Adam Page, Amey Kulkarni, and Tinoosh Mohsenin
    " Utilizing Deep Neural Nets for an Embedded ECG-based Biometric Authentication System"
    In Biomedical Circuits and Systems Conference (BioCAS), 2015 IEEE, October 2015. pdf download
  • Adam Page, Siddharth Pramod, Tim Oates, and Tinoosh Mohsenin
    " An Ultra Low Power Feature Extraction and Classification System for Wearable Seizure Detection"
    In proceedings of 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), August 2015. pdf download
    Invited
  • Amey Kulkarni and Tinoosh Mohsenin
    " Accelerating Compressive Sensing Reconstruction OMP Algorithm with CPU, GPU, FPGA and Domain Specific Many-Core "
    The 48th IEEE International Symposium on Circuits and Systems (ISCAS15), May 2015. pdf download
  • 2014
  • Tinoosh Mohsenin and Adam Page
    " Towards A Low Power Wearable Personalized Seizure Detection System"
    In Proceedings of IEEE EMBS Brain Grand Challenges, Nov 2014.
  • Sina Viseh
    "A Low Power On-board Processor for a Tongue Assistive Device"
    Masters Thesis, EEHPC Laboratory, CSEE Department, University of Maryland Baltimore County, August 2014. pdf download
  • Amey Kulkarni, Houman Homayoun and Tinoosh Mohsenin
    " A Parallel and Reconfigurable Architecture for Efficient OMP Compressive Sensing Reconstruction"
    The 24th Annual Great Lakes Symposium on VLSI (GLSVLSI2014), May 2014. pdf download
  • Adarsh Reddy, Tinoosh Mohsenin, Houman Homayoun
    "Exploiting STT-NV Technology for Reconfigurable, High Performance, Low Power, and Low Temperature Functional Unit Design "
    The 24th Annual Great Lakes Symposium on VLSI (GLSVLSI�2014), May 2014. pdf download
  • Adam Page, JT Turner, Tinoosh Mohsenin and Tim Oates
    "Comparing Raw Data and Feature Extraction for Seizure Detection with Deep Learning Methods "
    In proceedings of The 27th International Conference of the Florida Artificial Intelligence Society (FLAIRS'27), May 2014. pdf download
  • Amey Kulkarni and Tinoosh Mohsenin
    "Parallel and Reconfigurable architectures for OMP compressive sensing reconstruction algorithm"
    In proceedings of SPIE Sensing Technology and Applications, Baltimore, Maryland, May 2014.
  • Amey Kulkarni and Tinoosh Mohsenin
    "High Performance Architectures for OMP Compressive Sensing Reconstruction Algorithm"
    J 39th Annual GOMACTech Conference, April 2014. pdf download
  • Sina Viseh, Abner Acevedo, Maysam Ghovanloo and Tinoosh Mohsenin
    "Towards A Low Power FPGA Implementation for A Stand-Alone Intraoral Tongue Drive System"
    39th Annual GOMACTech Conference, April 2014. pdf download
  • Brice Cannon; Tanvir Mahmood, William Astar, Paul Boudra,Tinoosh Mohsenin and Gary Carter
    "Polarization-Insensitive Phase-transmultiplexing of CSRZ-OOK and RZ-BPSK to RZ-QPSK via XPM in a PCF"
    Optical Fiber Communication Conference (OFC), March 2014. pdf download
  • Tinoosh Mohsenin and Tim Oates
    "Algorithm Characterization and Implementation for Large Volume, High Resolution Multichannel Electroencephalography Data in Seizure Detection "
    In proceedings of The 2014 NIST Data Science Symposium, March 2014. pdf download
  • JT Turner, Adam Page, Tinoosh Mohsenin and Tim Oates
    "Deep Belief Networks used on High Resolution Multichannel Electroencephalography Data for Seizure Detection"
    AAAI Spring Symposium, March 2014. pdf download
  • 2013
  • Asmita Korde
    "Detection performance and hardware complexity analysis of radar compressive sensing for Noisy Signals"
    Masters Thesis, EEHPC Laboratory, CSEE Department, University of Maryland Baltimore County, August 2013. pdf download
  • Adam Page and Tinoosh Mohsenin
    "An Efficient and Reconfigurable FPGA and ASIC Implementation of a Spectral Doppler Ultrasound Imaging System"
    The 24th IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP 24),June 2013. pdf download
  • Julian Feild
    "A High-Performance, Low-Power, Many-Core Processor for DSP Applications"
    Undergraduate Research Award(URA) Report, EEHPC Laboratory, CSEE Department, University of Maryland Baltimore County, May 2013.
  • Adam Page and Tinoosh Mohsenin
    "An Efficient Hardware Implementation and FPGA Demonstration of Spectral Doppler Ultrasound Imaging"
    Best CSEE Research Review Award, April 2013.
  • Asmita Korde, Damon Bradely and Tinoosh Mohsenin
    "Detection performance of radar compressive sensing in noisy environments"
    SPIE Conference on Defense, Security, and Sensing, April 2013. pdf download
  • Jordan Bisasky, Houman Homayoun, Farhang Yazdani and Tinoosh Mohsenin
    "A 64-core platform for biomedical signal processing"
    The International Symposium on Quality Electronic Design (ISQED), March 2013. pdf download
  • Jerome L.V.M. Stanislaus and Tinoosh Mohsenin
    "Low complexity FPGA Implementation of Compressive Sensing Reconstruction"
    International Conference on Computing, Networking and Communications, January 2013. pdf download
  • 2012
  • Adam Page
    "An Efficient and Reconfigurable FPGA and ASIC Implementation of a Spectral Doppler Ultrasound Imaging System"
    Undergraduate Research Award(URA) Report, EEHPC Laboratory, CSEE Department, University of Maryland Baltimore County, December 2012.
  • James Darin Chandler, Jr.
    "An Efficient Network on Chip (NoC) for a Parallel, Low-Power, Low-Area Homogenous Many-Core DSP Platform"
    Masters Thesis, EEHPC Laboratory, CSEE Department, University of Maryland Baltimore County, May 2012. pdf download
  • Jerome L.V.M. Stanislaus and Tinoosh Mohsenin
    "High Performance Compressive Sensing Reconstruction Hardware with QRD Process"
    IEEE International Symposium on Circuits and Systems (ISCAS ' 12), May 2012.pdf download
  • Jordan Bisasky, James Darin Chandler, Jr. and Tinoosh Mohsenin
    "A Many-Core Platform Implemented for Multi-Channel Seizure Detection"
    IEEE International Symposium on Circuits & Systems (ISCAS ' 12), May 2012. pdf download
  • 2011
  • James Darin Chandler, Jr., Jordan Bisasky, Jerome L.V.M. Stanislaus and Tinoosh Mohsenin
    "Real-time Multi-channel Seizure Detection and Analysis Hardware"
    Biomedical Circuits and Systems Conference (BIOCAS '11), November 2011. pdf download
  • Houshmand Shirani-mehr, Tinoosh Mohsenin and Bevan Baas
    "A Reduced Routing Network Architecture for Partial Parallel LDPC Decoders,"
    Asilomar Conference on Signals, Systems and Computers (ACSSC ' 11), November 2011. pdf download
  • Tinoosh Mohsenin,
    "Implementing Low Power Error Correction Hardware for Next Generation Communication Applications"
    In Proceedings of the Grace Hopper Celebration of Women in Computing 2011 New Investigators Technical Papers, November 2011. (GHC '11)
  • Tinoosh Mohsenin, Houshmand Shirani-Mehr and Bevan Baas,
    "Low Power LDPC Decoder with Efficient Stopping Scheme for Undecodable Blocks"
    In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS'11), May 2011.pdf download
    Invited

  • 2010
  • Tinoosh Mohsenin
    "Algorithms and Architectures for Efficient Low Density Parity Check (LDPC) Decoder Hardware,"
    Ph.D Dissertation, Technical Report ECE-VCL-2010-11, VLSI Computation Laboratory, ECE Department, University of California, Davis, 2010.
  • Tinoosh Mohsenin, Dean Truong and Bevan Baas
    "A Low-Complexity Message Passing Algorithm for Reduced Routing Congestion in LDPC Decoders,"
    IEEE Transactions of Circuits and Systems I (TCAS-I), vol. 57, no. 5, pp. 1048-1061, May 2010.
    Invited.
  • Tinoosh Mohsenin and Bevan Baas
    "A Split-Decoding Message Passing Algorithm for Low Density Parity Check Decoders,"
    Journal of Signal Processing Systems for Signal, Image, and Video Technology, available online, Feb. 2010. 2009
  • Tinoosh Mohsenin and Bevan Baas
    " Trends and Challenges in LDPC Hardware Decoders,"
    Asilomar Conference on Signals, Systems and Computers (ACSSC), November 2009.
    Invited.
  • Tinoosh Mohsenin and Bevan Baas
    " High Throughput and Energy Efficient LDPC Decoders using Multi-Split-Row Threshold Method,"
    TECHCON 2009, September 2009.
  • Tinoosh Mohsenin, Dean Truong and Bevan Baas
    "An Improved Split-Row Thresholding Decoding Algorithm for LDPC Codes,"
    IEEE International Conference on Communications (ICC'09), June 2009.
  • Tinoosh Mohsenin, Dean Truong and Bevan Baas,
    "Multi-Split-Row Threshold Decoding Implementations for LDPC Codes,"
    IEEE International Symposium on Circuits and Systems (ISCAS'09), May 2009.
  • Dean N. Truong, Wayne H. Cheng, Tinoosh Mohsenin, Zhiyi Yu, Anthony T. Jacobson, Gouri Landge, Michael J. Meeuwsen
    Christine Watnik, Anh T. Tran, Zhibin Xiao, Eric W. Work, Jeremy W. Webb, Paul V. Mejia, Bevan M. Baas,
    "A 167-Processor Computational Platform in 65 nm CMOS,"
    IEEE Journal of Solid-State Circuits (JSSC), vol. 44, no. 4, pp. 1130-1144, April 2009.
    Invited.
  • 2008
  • Tinoosh Mohsenin, Pascal Urard and Bevan Baas,
    "A Thresholding Algorithm for Improved Split-Row Decoding of LDPC Codes,"
    Asilomar Conference on Signals, Systems and Computers (ACSSC), October 2008.
  • Dean Truong, Wayne Cheng, Tinoosh Mohsenin, Zhiyi Yu, Toney Jacobson, Gouri Landge, Michael Meeuwsen, Christine Watnik,
    Paul Mejia, Anh Tran, Jeremy Webb, Eric Work, Zhibin Xiao, Bevan Baas,
    "A 167-processor Computational Array for Highly-Efficient DSP and Embedded Application Processing,"
    In Proceedings of the IEEE HotChips Symposium on High-Performance Chips, (HotChips 2008), August 2008.
  • Dean Truong, Wayne Cheng, Tinoosh Mohsenin, Zhiyi Yu, Toney Jacobson, Gouri Landge, Michael Meeuwsen, Christine Watnik,
    Paul Mejia, Anh Tran, Jeremy Webb, Eric Work, Zhibin Xiao, Bevan Baas,
    "A 167-processor 65 nm Computational Platform with Per-Processor Dynamic Supply Voltage and Dynamic Clock Frequency Scaling,"
    Symposium on VLSI Circuits, June 2008, C3.1.
  • Zhiyi Yu, Michael Meeuwsen, Ryan Apperson, Omar Sattari, Michael Lai, Jeremy Webb, Eric Work, Tinoosh Mohsenin, Bevan Baas,
    "Architecture and Evaluation of an Asynchronous Array of Simple Processors,"
    Journal of VLSI Signal Processing Systems, March 2008.
  • Zhiyi Yu, Michael Meeuwsen, Ryan Apperson, Omar Sattari, Michael Lai, Jeremy Webb, Eric Work, Dean Truong, Tinoosh Mohsenin, Bevan Baas,
    "AsAP: An Asynchronous Array of Simple Processors,"
    IEEE Journal of Solid-State Circuits (JSSC), vol. 43, no. 3, pp. 695-705, March 2008.
  • Tinoosh Mohsenin and Bevan Baas,
    "An 18 Gbps 2048-bit 10GBASE-T Ethernet LDPC Decoder,"
    IEEE International Solid-State Circuits Conference (ISSCC) 2008 Student Forum, February 2008.
  • 2007
  • Ryan Apperson, Zhiyi Yu, Michael Meeuwsen, Tinoosh Mohsenin, Bevan Baas
    "A Scalable Dual-Clock FIFO for Data Transfers between Arbitrary and Haltable Clock Domains,"
    IEEE Transactions of Very Large Scale Integration Systems (TVLSI), vol. 15, no. 10, pp. 1125-1134, October 2007.
  • Tinoosh Mohsenin and Bevan Baas,
    " High-Throughput LDPC Decoders Using A Multiple Split-Row Method,"
    In Proceedings of the IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP'07), April 2007.
  • Bevan Baas, Zhiyi Yu, Michael Meeuwsen, Omar Sattari, Ryan Apperson, Eric Work, Jeremy Webb, Michael Lai, Tinoosh Mohsenin, Dean Truong, Jason Cheung,
    "AsAP: A Fine-grain Multi-core Platform for DSP Applications,"
    IEEE Micro, Volume 27, Number 2, March/April 2007.
    Invited.
  • 2006
  • Tinoosh Mohsenin, Bevan M. Baas,
    " Split-row: A Reduced Complexity, High Throughput LDPC Decoder Architecture",
    In Proceedings of the IEEE International Conference of Computer Design (ICCD '06), October 2006.
  • Bevan Baas, Zhiyi Yu, Michael Meeuwsen, Omar Sattari, Ryan Apperson, Eric Work, Jeremy Webb, Michael Lai, Daniel Gurman, Chi Chen, Jason Cheung, Dean Truong, Tinoosh Mohsenin,
    "Hardware and Applications of AsAP: An Asynchronous Array of Simple Processors,"
    In Proceedings of the IEEE HotChips Symposium on High-Performance Chips, (HotChips 2006), August 2006.
  • Zhiyi Yu, Michael Meeuwsen, Ryan Apperson, Omar Sattari, Michael Lai, Jeremy Webb, Eric Work, Tinoosh Mohsenin, Mandeep Singh, Bevan M. Baas,
    "An Asynchronous Array of Simple Processors for DSP Applications,"
    In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC '06), February 2006, pp. 428-429, 663. 2005
  • Junqiang Hu; Zhong Pan; Zuqing Zhu; Haijun Yang; Mohsenin, T.; Akella, V.; Ben Yoo, S.J, "First Experimental Demonstration of IP-Client-to-IP-Client Video Streaming Application Over an All-Optical Label-Switching Network with Edge Routers", Optical Fiber Communication Conference (OFC'05),Volume 5, March 2005. 2003
  • Tinoosh Mohsenin, "Design and Evaluation of FPGA-Based Gigabit-Ethernet/PCI Network Interface Card", Masters Thesis, Rice University, 2003.
  • P.Murphy, J.P.Frantz, E.Welsh, R.Hardy, T.Mohsenin and J.Cavallaro, "VALID: Custom ASIC Verification and FPGA Education Platform", Microelectronic Systems Education Conference, (MSE'03),  June 2003, pp. 64 - 65.