<- previous    index    next ->

Lecture 6, VHDL introduction

VHDL is used for structural and functional modeling of digital circuits. The geometric modeling is handled by other Cadence programs. Browse and use as a reference for HW4, HW6, and Project. You must do the setup exactly as stated in HW4 add32pg_start.vhdl for HW4 tadd32.vhdl for main entity for HW4 Sample designs and corresponding VHDL code VHDL Language Compact Summary The setup for HW4, HW6 and Project will be covered in the next lecture. You will be using command lines in a terminal window on linux.gl.umbc.edu You are given a cs411.tar file that creates the needed directories. You will be modifying a Makefile for HW4, HW6, and Project parts. The basic VHDL commands are shown in the starter Makefile Makefile

    <- previous    index    next ->

Other links

Go to top