Journal Publications

  1. Tahmid Abtahi, Colin Shea, Amey Kulkarni, and Tinoosh Mohsenin
    "Accelerating Convolutional Neural Network with FFT on Embedded Hardware"
    in IEEE Transactions on Circuits and Systems I (ISCAS 2017, Invited, Under Review).

  2. Amey Kulkarni, and Tinoosh Mohsenin
    "Low Overhead Architectures for OMP Compressive Sensing Reconstruction Algorithm"
    in IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 64, no. 6, pp. 1468-1480, June 2017.

  3. Amey Kulkarni, Colin Shea, Tahmid Abtahi and Tinoosh Mohsenin
    "Low Overhead CS-based Heterogeneous Framework for Big Data Acceleration"
    ACM Transaction on Embedded Computing Systems,17, 1, Article 25 (December 2017), 25 pages.

  4. Amey Kulkarni,Youngok Pino, Matthew French and Tinoosh Mohsenin
    "Real-Time Anomaly Detection Framework for Many-Core Router through Machine Learning Techniques"
    ACM Journal on Emerging Technologies in Computing Systems, Volume 13 Issue 1, Article 10 (June 2016), 22 pages. In Press (1.28 Impact Factor)

 Conference Publications

  1. Tahmid Abtahi, Colin Shea, Amey Kulkarni, and Tinoosh Mohsenin,
    "Accelerating Convolutional Neural Network with FFT on Domain Specific Many-Core"
    2017 IEEE International Symposium on Circuits and Systems (ISCAS), Baltimore, MD, 2017, pp. 1-4.(Best Paper- honorable mention)

  2. Adwaya Kulkarni, Tahmid Abtahi, Colin Shea, Amey Kulkarni, and Tinoosh Mohsenin,
    "PACENet: Energy Efficient Acceleration for Convolutional Network on Embedded Platform"
    2017 IEEE International Symposium on Circuits and Systems (ISCAS), Baltimore, MD, 2017, pp. 1-4.(Invited Paper)

  3. Amey Kulkarni, Colin Shea, Houman Homayoun, and Tinoosh Mohsenin,
    "LESS: Big Data Sketching and Encryption on Low Power Platform"
    Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, Lausanne, 2017, pp. 1631-1634.

  4. Amey Kulkarni, Ali Jafari, Colin Shea, and Tinoosh Mohsenin
    "CS-based Secured Big Data Processing on FPGA"
    24th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2016. Washington DC, USA.

  5. Amey Kulkarni, Tahmid Abtahi, Emily Smith and Tinoosh Mohsenin
    " Low Energy Sketching Engines on Many-Core Platform for Big Data Acceleration"
    in Proceedings of the 26th Edition of the Great Lakes Symposium on VLSI, GLSVLSI'16. Boston, MA, USA.

  6. Amey Kulkarni, Youngok Pino and Tinoosh Mohsenin
    " Adaptive Real-time Trojan Detection Framework through Machine Learning"
    in Hardware Oriented Security and Trust (HOST), 2015 IEEE International Symposium on ,3- 5 May 2016

  7. Amey Kulkarni, Ali Jafari, Chris Sagedy and Tinoosh Mohsenin
    " Sketching-Based High-Performance Biomedical Big Data Processing Accelerator"
    49th ISCAS 2016,Canada,(Invited Talk) May2016

  8. Amey Kulkarni, Youngok Pino and Tinoosh Mohsenin
    " SVM-based Real-Time Hardware Trojan Detection for Many-Core Platform"
    in 17th International Symposium on Quality Electronic Design (ISQED), March 2016, (18.1% Acceptance Rate)

  9. Adam Page, Amey Kulkarni, and Tinoosh Mohsenin
    " Utilizing Deep Neural Nets for an Embedded ECG-based Biometric Authentication System"
    Biomedical Circuits and Systems Conference (BioCAS),USA, October2015 (34.18% Acceptance Rate)

  10. Amey Kulkarni, and Tinoosh Mohsenin
    " Accelerating Compressive Sensing Reconstruction OMP Algorithm with CPU, GPU, FPGA and Domain Specific Many-Core"
    in Circuits and Systems (ISCAS), 2015 IEEE International Symposium on , vol., no., pp.970-973, 24-27 May 2015, (27.18% Acceptance Rate)

  11. Tawana Khawari, Amey Kulkarni, Abbas Rahimi, Tinoosh Mohsenin and Houman Homayoun "Energy-Efficient Mapping of biomedical applications on Domain-Specific Accelerator under Process Variation"
    International Symposium on Low Power Electronics and Design,ISLPED14 (31% Acceptance Rate)

  12. Tawana Khawari, Amey Kulkarni, Abbas Rahimi, Tinoosh Mohsenin and Houman Homayoun "Energy-Efficient Mapping of Real-time Tasks in Many-Core Accelerator Under Process Variation", ACM/IEEE 51st Design Automation Conference, DAC 2014 (Work-In-Progress) (22.52% Acceptance Rate)

  13. Amey Kulkarni, Houman Homayoun and Tinoosh Mohsenin
    " A Parallel and Reconfigurable Architecture for Efficient OMP Compressive Sensing Reconstruction"
    24th GLSVLSI 2014,Houston, Texas, USA, May2014 (27.32% Acceptance Rate)

  14. Amey Kulkarni and Tinoosh Mohsenin
    "Parallel Heterogeneous Architectures for Efficient OMP Compressive Sensing Reconstruction "
    International SPIE Conference on Defense, Security, and Sensing, May2014

  15. Amey Kulkarni and Tinoosh Mohsenin
    " High Performance Architectures for OMP Compressive Sensing Reconstruction Algorithm", 39th Annual GOMACTech Conference, April 2014

  16. Amey Kulkarni and V.Arunachalam, " FPGA Implementation of Dynamic Energy Efficient Memory Controller for a H.264/AVC Application "
    International Journal of Computer Application (IJCA), April'2011 Edition

  17. Amey Kulkarni and V.Arunachalam, "FPGA Implementation & Comparison of Current Trends in Memory Scheduler for Multimedia Application "
    International Conference and Workshop on Emerging Trends and Technology (ICWET), February2011.

  18. Amey Kulkarni and V.Arunachalam, "FPGA Implementation Of Dynamic Memory Access Scheduler "
    International Conference on Communication, Computers and Devices (ICCCD) 2010, IIT Kharagpur, December2010